Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

help!A question in DC synthesis

Status
Not open for further replies.

LinXiaoling

Member level 1
Joined
Feb 25, 2008
Messages
32
Helped
2
Reputation
4
Reaction score
0
Trophy points
1,286
Activity points
1,490
when including the IO PAD module ,the delay for some pad (e.g. reset_pad)is too large in critical setup timing path .Therefore,the violation is too large.can anyone help me?
Thanks!
 

like setting it as a false path ?
 

thanks very much!
but I will try and see if it will effect the backend design
and I hope there is another way to fix it.
 

You need to confirm with the datasheet of IO PAD usage and set the according constraints in sdc.
 

do you mean that I just sythesis the core ,then change the SDC according to the IOPAD datasheet during placement process?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top