Gate Delay dependent circuits

Status
Not open for further replies.

ahmedkhalaf

Newbie level 5
Joined
Nov 1, 2008
Messages
9
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,343
fpga gate delay

Hi,

I'm trying to implement a ring oscillator using series of inverters, and I need to study the smallest changes in time, but no use with the ISE simulator:

I get the signals changed in the same instant of time.
(I'm using Free ISE 10.1 WebPack)

also I tried a series of buffers and I get all signals changed at the same moment

is there a way to study this?


Thanks
 

gate delay verilog

With recent FPGA families, you can expect 0.3 to 0.5 ns per logic cell. Does the ISE simulator support timing simulation? Did you select timing or functional simulation?

As another remark, the synthesis tool is supposed to remove superfluous logic cells in synthesis. Did you verify in the fitter result, that the intended logic cells have been actually synthesized for the simulated design? You may need to specify special synthesis attributes to keep the logic cells.
 

gate delays in verilog

Thank you FvM...

I dont know if ISE Simulator can do it

I checked the synth. output ... I can get the output I want with some configuration, but I still dont know how to simulate that accurate timing.


Thanks again

Added after 7 minutes:

I have posted the same question on Xilinx Forms... and that what i got :


Original Thread

Added after 9 minutes:

can you suggest a tool / enviroment that is capable of doing it? ... I'm thinking of Orcad circuit simulation

but I think I need another tool that is more digital circuit oriented

also what about skipping simulation, and testing in the physical circuit ?
 

gate delay fpga

Are you simulating the RTL or the Synthesized Netlist ? .. if this is not acheivable with ISE, then in case you have access to any ASIC compiler (like Synopsys DC, or Cadence RTL Compiler), you will be able to get a netlist that includes gate-delays (which corresponds to cell delays after FPGA synthesis). Then you can simulate the netlist using any RTL simulator like ModelSim or NCSim.
 

vhdl buffer delay ise

The question is answered in the first line of ISim "Getting started".

Xilinx® ISim is a Hardware Description Language (HDL) simulator that enables you to perform functional and timing simulations for VHDL, Verilog and mixed VHDL/Verilog designs.
I expect, the manual has also some more details on timing simulation.
 

xilinx isim transport delay

Thanks FvM and omara007

I'll do some more research and get back with more questions
 

verilog gate delay line


In general, you can't do any timing simulation before synthesizing your RTL , at least, to Netlist .. the first timing information appears after synthesis .. then more detailed timings appear by going deeper in the backend flow.
 

Status
Not open for further replies.

Similar threads

Cookies are required to use this site. You must accept them to continue using the site. Learn more…