azwaa
Member level 1
- Joined
- May 21, 2014
- Messages
- 32
- Helped
- 0
- Reputation
- 0
- Reaction score
- 0
- Trophy points
- 6
- Activity points
- 197
Hi guys!
i have problems with my vhdl code.
can you help me plz
This is the code:
error : Error (10327): VHDL error at correla.vhd(60): can't determine definition of operator ""+"" -- found 0 possible definitions
Thank you in advance for your reponse !!:-(
i have problems with my vhdl code.
can you help me plz
This is the code:
Code:
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity correla is
port (
clk : in std_logic ;
rst : in std_logic ;
data: in std_logic_vector(11 downto 0) ;
code: in std_logic_vector(15 downto 0 ) ;
Q :out std_logic_vector(17 downto 0) ) ;
end entity ;
architecture arch of correla is
type RAM is array (0 to 3) of std_logic_vector(3 downto 0) ;
type ram16 is array (0 to 3) of signed(15 downto 0) ;
signal CD : RAM;
signal temp: ram16;
signal sum :signed (16 downto 0) ;
signal AB :signed (17 downto 0) ;
begin
CD(0) <= code(15 downto 12);
CD(1) <= code(11 downto 8);
CD(2) <= code(7 downto 4);
CD(3) <= code(3 downto 0);
étalement:process(clk,rst)
begin
if(rst='1') then
Q <=(others=>'0');
temp(0)<=x"0000";
temp(1)<=x"0000";
temp(2)<=x"0000";
temp(3)<=x"0000";
else
if(clk'event and clk ='1') then
for i in 0 to 3 loop
temp(i) <= signed(data)*signed(CD(i));
end loop ;
sum(0)<= temp(0)+temp(1) ;
sum(1)<= temp(2)+temp(3) ;
AB<=sum(0)+sum(1) ;
Q<=std_logic_vector(AB) ;
--
end if ;
end if ;
end process ;
end architecture ;
error : Error (10327): VHDL error at correla.vhd(60): can't determine definition of operator ""+"" -- found 0 possible definitions
Code:
sum(0)<= temp(0)+temp(1) ;
Thank you in advance for your reponse !!:-(