Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Doubt in process statement

Status
Not open for further replies.

Nikolai

Member level 3
Joined
Jun 24, 2007
Messages
62
Helped
2
Reputation
4
Reaction score
0
Trophy points
1,286
Activity points
1,879
process(clk,d,reset)

----------
---------

end process;



suppose the process takes long to execute and during this time an event occurs on the sensitive signals (clk,d reset) . what would happen in such a case. would it start all over again ?
 

Process execution takes place in delta time. i.e 0 simulation time. where as signal change will take some simulation time.
So as soon as a signal in sensitivity list changes, the process will complete fully(guranteed) before any signal change could take place, due to the reason given above.
So the process execuation can never take that long, as you have mentioned, so rest assured ;)
Kr,
Avi
http://www.vlsiip.com
 

    Nikolai

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top