Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Code Coverage in Modelsim or Questasim Batch Mode

Status
Not open for further replies.

nemolee

Full Member level 3
Joined
Dec 28, 2004
Messages
155
Helped
3
Reputation
6
Reaction score
1
Trophy points
1,298
Activity points
1,467
modelsim coverage report

Dear Sir,
Does any body know how to generate code coverage report using batch mode in modelsim or questasim ?
Please teach me.
And how do I explain generated report ?
Thank you very much.
 

modelsim coverage

hi,

I think that there should be code coverage tool option in modelsim if that feature available with your licence. with out that you can not do that i think so if there is any appropriate answer then please let me know
 

questasim code coverage

you can generate it in GUI mode or batch mode!
 

questasim coverage

Dear ljxpjpjljx,

How to run ?
Please provide the useful message.
Don't reply the garbage.
Thanks.
 

questasim batch mode

If I'm not mistaking , Tools -> Coverage -> Reports. At path input box, u will put the name of the report file.

OR
in command line :
coverage report -file file.txt

I hope it's useful for you....

Have a nice day to all,

Dexter
 

questasim coverage merge

You can do:
vsim -c -do "coverage save -onexit <TESTSET_CODE_COVER>.ucdb;run -all;exit" -coverage -voptargs="+cover=bcfst" <otherOptions> <TOP>

Here we tell vsim to
1) enable code coverage (-coverage),
2) the types of coverage to collect (via -voptargs=+cover= bcefst") if not using the three-step flow process,
3) and to produce a coverage database file on the exit of simulation (do "coverage save -onexit coverage.ucdb)

Further if you have multiple coverage databases, you can merge them into a single database by using:
vcover merge <ucdbFile1>.ucdb <ucdbFile2>.ucdb ... <ucdbFileN>.ucdb <ucdbFileResult>.ucdb

The finally you can generate a HTML report:
vcover report -html -htmldir <dirToOutput> -verbose -threshL 50 -threshH 90 <ucdbFile>.ucdb
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top