Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Cadence: Steps for Functional verification of synthesized netlist

Status
Not open for further replies.

chip-monk

Newbie level 5
Joined
Jul 14, 2011
Messages
9
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,283
Activity points
1,389
How does one functionally verify a netlist synthesized using the RTL Compiler? Please give steps and associated commands.

Thanks.
 

Hi,

Thanks for the quick reply.

I am using VT Standard Cell Library and there is no *.v file containing all the gates such as and, inv etc used in the synthesized netlist.

So, how do I point to the library gates when I have the synthesized netlist, sdf, and *.lib file but no verilog file with all gate descriptions such and2_1 or nand etc. used in the synthesized netlist.

To be more precise, I get the errors like the following one in Cadence, when I compile the synthesized netlist, annotate the sdf file in the testbench and then use ncelab command.

and2_1 g631(.ip1 (B[8]), .ip2 (n_15), .op (c_out));
|
ncelab: *E,CUVMUR (./gen_ks_sa1.v,1817|12): instance 'test.ks_sa1_1.d9_1.a9_1.g631' of design unit 'and2_1' is unresolved in 'worklib.adder_ks9:module'.
 

You NEED verilog libraries to simulate your design. There is no other ways to simulate netlist.
Another way - to use Confornal for Formal Verification. It supports *.lib as input format.
 
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top