wannaknow
Junior Member level 2
Hi,
I got the error:
Could anyone tell me what is wrong with this error since I get error at the declaration but it said the object is not declared?
Thank you
I got the error:
Error (10482): VHDL error at aaa.vhd(13): object " list10x2" is used but not declared
Could anyone tell me what is wrong with this error since I get error at the declaration but it said the object is not declared?
Code:
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.ioarrays.all;
library floatfixlib;
use floatfixlib.fixed_pkg.all;
entity aaa is
port(
pressure_in : in list10x2;--10 inputs, each inputs with 2 bits
}
Thank you