Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] At port declaration, object is used but not declared

Status
Not open for further replies.

wannaknow

Junior Member level 2
Joined
Apr 9, 2015
Messages
20
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
252
Hi,

I got the error:
Error (10482): VHDL error at aaa.vhd(13): object " list10x2" is used but not declared

Could anyone tell me what is wrong with this error since I get error at the declaration but it said the object is not declared?

Code:
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.ioarrays.all;
library floatfixlib;
use floatfixlib.fixed_pkg.all; 




entity aaa is 


	port(
		


		pressure_in		 : in list10x2;--10 inputs, each inputs with 2 bits
}



Thank you
 

because the list10x2 is not a type defined in the packages you are using, or perhaps you didn't compile the packages before you started compilation of this file?

Try compiling the ioarrays package first.
 
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top