Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

A problem to annotate interconnect delay by sdf file

Status
Not open for further replies.

IceXmas

Newbie level 1
Joined
Nov 4, 2009
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,285
sdf annotate
Hi,
when I annotate sdf in ncverilog,I found interconnect cannot be annotated
,but the cell delay can annotated,Can tell me why?there is a explanation in document

thanks a lot
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top