Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Suggest me a free systemC compiler

Status
Not open for further replies.

meetspraveen

Member level 2
Joined
Mar 2, 2007
Messages
44
Helped
2
Reputation
4
Reaction score
1
Trophy points
1,288
Activity points
1,566
hai,
tell me wat is the compiler for systemC?if any one have give me or tell me link to get it free?
 

systemC

Use what ever C++ compiler you have (Example: g++, SunCC)
Sumit
 

Re: systemC

sumit_techkgp said:
Use what ever C++ compiler you have (Example: g++, SunCC)
Sumit

But if you want to co-simulate SystemC with Verilog/VHDL, don't Cadence/Synopsys/Mentor require you to use a specific C++ compiler? Or can you use any compiler and link the object-code with your simulator of choice?
 

systemC

Cadence uses a g++/SunCC complier to compile you syc files into object codes. The g++ Complier itself will be shipped bt cadence. Infact that will be used internally by cadence tool (ncsc). The elaborator (ncelab) will link these object codes with HDL. Please let me know if u have any more queries.
Sumit
 

systemC

thanks for ur response.but i want free systemC compiler.Is it possible to get

Added after 2 minutes:

can any one have modelSIM SE full version+systemC support.......if so help me urgent
 

Re: systemC

meetspraveen said:
thanks for ur response.but i want free systemC compiler.Is it possible to get

Added after 2 minutes:

can any one have modelSIM SE full version+systemC support.......if so help me urgent

Hi,
full version isnt free, u can get evaluation version of modelsim from their website.

thanks
sawaak
 

systemC

hai sawaak
will evaluation version support....systemC
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top