Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by SantoshSoundararajan

  1. S

    Contol unit design for 32bit -ALU

    i am kinda lost in designing control unit for my 32bit ALU .. All i have is 4 modules namely addition,multiplier,logic and shifting. So i need to design a control unit for all these modules so that i can choose any module i want.. but now i came to know i cannot use condition statements to...
  2. S

    how can we enable and disable the modules in verilog?

    yeah not able to understand it...im stuck with that...
  3. S

    how can we enable and disable the modules in verilog?

    hey guys help me out here...i need u to give me some examples to make a module enabled or disabled whenever we need in verilog HDL....
  4. S

    how can i call a module from case or if else statement?

    i could not get the correct result when i run the program...my aim is when en1 is 1 and module should work and when en2=1 or module should work and so on...
  5. S

    how can i call a module from case or if else statement?

    here is the test bench module arith_test; reg A,B; reg [1:0] S; wire out; arithmetic aaa1(A,B,S,out); initial begin A=0; B=1; S=2'b00; #100 A=0; B=1; S=2'b01; #100 A=0; B=1; S=2'b10; #100 A=0; B=1; S=2'b11; end endmodule
  6. S

    how can i call a module from case or if else statement?

    Thanks syedshan...can you explain me with some example? i tried this program and it is showing wrong results... module arithmetic(A,B,S,out); input A,B; input [1:0] S; output out; wire en1,en2,en3,en4; assign en1=(~S[0])&(~S[1]); assign en2=(S[0])&(~S[1]); assign en3=(~S[0])&(S[1]); assign...
  7. S

    how can i call a module from case or if else statement?

    how can i call a module from case or if else statement in verilog? i need to make a module instantiation whenever particular condition occurs...can someone make me clear on designing control unit like that?
  8. S

    32 bit barrel shifter verilog code

    Thanks Gaurav ! that really helped ! I just need a verilog simulation.. i need efficient shifting (right and left) for 32 bits .. Can you give me the code for that ? using binary search ?? We are basically designing high performance 32 bit ALU .. done with multiplier,adder and logic modules...
  9. S

    32 bit barrel shifter verilog code

    RTL coding.. or any other efficient 32bit shifter to perform left and right shifting ???
  10. S

    32 bit barrel shifter verilog code

    hey ! Anyone can help me in writing 32 bit barrel shifter code in verilog ???

Part and Inventory Search

Back
Top