Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by manasic

  1. M

    Synthesis issue

    Re: Synthesis issue- code not synthesizable Thanks all; I got the issue resolved. The problem was that synopsis was not able to synthesis anything for a variable if statement. I opened the loop making it static and removing the variable components from the code. This helped the synopsis to...
  2. M

    Synthesis issue

    Re: Synthesis issue- code not synthesizable I have no issue with router.vhd. I have just posted the part of package , which is giving error. Thanks, Manasi
  3. M

    Synthesis issue

    Hello All; I have code which compare two address(which is a std_logic_vector). I have written it in a pacakge named distance with the function named as path_cost. The code is in vhdl and synthesis tool is synopsys 2011. Below is the code and synthesis error. package body distance is --...
  4. M

    router design for Network of Chip using a train algorithm

    hey friends; I have to code a routing logic using train algorithm, in vhdl. Details regarding the routing logic: The 2-D mesh structure is span in form of tree, as shown in the figure**broken link removed** The top is the root and addressed as 000, the branches below it are given address...
  5. M

    error in nested procedure

    hello friends; I have a package. Current declaration of package consist of 1 function and 1 procedure. I did lots of reading online regarding ; a function call in a procedure. However, could not get any clue. I get error stating "output cannot be read". I might be wrong with the way function...
  6. M

    [SOLVED] bcd to decimal conversion

    I want it that way , becos I am designing an irregular router algorithm... and input in BCD simplifies it a little bit....
  7. M

    [SOLVED] bcd to decimal conversion

    [MERGED] BCD to decimal conversion question on algorithm Hi all; I want to write a function for converting a BCD number into decimal in VHDL. I looked for algorithms online but did not quite understand the logic behind it. I feel one can do it following way: I have an address input as BCD...
  8. M

    [SOLVED] bcd to decimal conversion

    ok, will keep in mind... I was to much thinking about the logic myself and assumed that everything else is obvious... Sorry for incomplete information... Manasi
  9. M

    [SOLVED] bcd to decimal conversion

    Hey Barry; I thought you could interpret it should be in VHDL... As I have posted it under FPGA thread..... As by far people program it in VHDL... well its FPGA...
  10. M

    [SOLVED] bcd to decimal conversion

    hey Barry; :).... its with software.. Manasi
  11. M

    [SOLVED] bcd to decimal conversion

    hello friends; I have a requirement for my project in which, I need to extract individual digit from a BCD digit say(001000110001 => 231 like "0010", "0011", "0001"). How can I do it. What's the easiest method to get it? Thanks & Regards; Manasi CHoudhari
  12. M

    FIFO circular queue buffer issue

    Thanks; see its an evident difference between a newbie and an expert. Thanks , it helped me a lot and it worked. Manasi
  13. M

    FIFO circular queue buffer issue

    hello friends; I am implementing a circular queue fifo buffer: I am having a issue that when a simulation signal read enable is given my waves stop appearing. I think its some issue with my implementation. can anyone help: following is my FIFO code and the test bench is attached along with...
  14. M

    efficient way to add increment a std_logic_vector

    Hello all; I am writing a code for FIFO buffer and come across a stage in which I need to increment my read and write pointer. The read and write pointer are std_logic_vector. So incrementing it by 1; requires a hell lot of type cast conversion. It looks something like this...

Part and Inventory Search

Back
Top