Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by kimo4ever

  1. K

    How to eleminate flicker noise and burst noise in dc devices regd

    i don't know your circuit, but i know two ways to eliminate flicker noise, for Switched circuits you can use a technique called auto zeroing, and i guess this is not the case, so i suggest to learn about a technique called: Chopping, it transfer the flicker noise spectrum to high frequency, you...
  2. K

    [SOLVED] Flicker noise in poly resistnces

    Thanks everyone, i knew the Sol, V(flicker)^2=Vbias^2*0.5*19^9/Area*f...where Vbias is the drop voltage and Area =L*W (length *Width)
  3. K

    [SOLVED] Flicker noise in poly resistnces

    hello, can anyone tell me if there is a direst equation describing the flicker noise (in uV) inside the poly resistances ? thnx
  4. K

    [SOLVED] Verilog A Counter on Cadence

    I would like to share my solution found for my problem, so anybody can profit from this thread, we must not put ; after cross event declaration , hope anybody can profit from this problem solution in the future thnx
  5. K

    [SOLVED] Verilog A Counter on Cadence

    hello guys, i am tryong to generate a counter which has a 10*clock period, by doing a simple verilog A code, on cadence ,spectre simulatior, but the issue is that the simulation takes sooo long time,( +20 minutes ), so is this normal? my code is : // VerilogA for lte, counter, veriloga `include...
  6. K

    Cadence Inverter using verilog

    Sorry, yesterday, i didn't catch your reply, but actually, i don't wanna say that the config file creation didn't completed correctly coz of a missing file in my cadence:D:D, i will try to connect my TA in this grad project these days, to modifie my cadence version or something, and i will...
  7. K

    Cadence Inverter using verilog

    mmm, i don't know actually, using these keywords was a option, i begin by using a simple verilog code at gate level using ( not (out,inp) , and same problem , so i used the transistor level , but nothing at all, i will check the verilog editor ( mine in called nedit) and check the verilog...
  8. K

    Cadence Inverter using verilog

    the verilog functional file and the symbol is in library called :karim ....and the schematic is in the library called: karim1
  9. K

    Cadence Inverter using verilog

    okok, the simulation analysis is :transient i also pick a screen shot of its window, and you can find what it says to me when i run it at the last three sentences in the CIW
  10. K

    Cadence Inverter using verilog

    yes, my verilog code after existing it says it was sucessfully compiled, so there no error in code, here a screen shot of my work, knowing that,m the schematic and the functional are not in the same library as i understood from you
  11. K

    Cadence Inverter using verilog

    after setting the simulation paramters as transit and sepcifie the out and inp nodes, i press netlist and run and that error appear in the CIW: Netlister:there were errors , no netlist was produced ....unsuccefull knowing that after i click save and check after the schematic, it says that no...
  12. K

    Verilog Xilinux Macro reference error

    hello, i have a problem wit that simple verilog code on Xilinux module kMOS(out,select,p0,p1); input p0,p1,select; output out; initial begin p0=0; p1=0; end always @(select or p0 or p1) case(select) 1`b0:out=p0; 1`b1:out=p1; endcase endmodule when i compile it to check for syntax error...
  13. K

    Verilog (Xilinux) simulation via modelsim

    yes i wanna simulate in modelsim, right, but from Xilinux, i load the file and compile it from Xilinux, the Modelsim appear at the process window in Xilunx then double click on it, it open directly modelsim simulate the file then run the simulation if it is a testbench file (i.e no need to force...
  14. K

    Verilog (Xilinux) simulation via modelsim

    thnx for your reply, but in Xilinux, after checking for syntax, i select the testbench file, then behavioral simulation, then in the processes window, appear the "modelsim" i must click on it then the modelsim open automatically and run, but that doesn't happen, i can't find simulate from add as...
  15. K

    Cadence Inverter using verilog

    okok, after i created my symbol, after the verilog , i opened a new schematic as you told me, then wired all the necessary components (Vdd ,Vdc,gnd and a cap and Vpulse) then i check and save , without errors, then i chose tools-->analog environment then i labled the wire and set them to be...

Part and Inventory Search

Back
Top