Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by arbalez

  1. A

    About Microchip Explorer 16 Development Board

    explorer16 hi, i want to ask this newbie question to those who are familiar with this Explorer 16 board. what i want to know is how i can connect the TX, RX and I/O to MAX485/MAX483 since there's no input/output port on the board. there's only one prototype area. this development board is...
  2. A

    Question about rising_edge and falling_edge function

    rising_edge non clock signal can rising_edge() and falling_edge() be used to detect non-clock (asynchronous) signal? is it only meant for clock signals?
  3. A

    Quartus II warning messages: need advices

    After doing full compilation of my design, i got the following warning messages. the documentation does not explain in detail on how to solve the problem. i do hope that somebody who had experienced this before could advice me. Critical : Design Assistant Warning: Logic cell should not be used...
  4. A

    help in "K counter" of ADPLL (VHDL source code)

    Re: help in "K counter" of ADPLL (VHDL source code yeah, just set the K width (UP and DOWN) width to be the worst-case one (or the largest available width you preferred). then by getting the 4-bit input for k-counter configuration, you can use the available width according to your need. for...
  5. A

    help in post&map simulation

    how you solved it?
  6. A

    help in "K counter" of ADPLL (VHDL source code)

    Re: help in "K counter" of ADPLL (VHDL source code i do not really understand what bound you are trying to define. but you can try this (k-counter values are according to CD74ACT297 datasheet): if K = "0001" then k_val := 8; elsif K = "0010" then k_val := 16; elsif K = "0011" then k_val...
  7. A

    help in "K counter" of ADPLL (VHDL source code)

    actually i'm doing the same project. i did the k-counter but now still solving the i/d-counter part. Added after 2 minutes: there is no code of ADPLL released to the public, as far as i know.
  8. A

    Should the use of variables be avoided for synthesis?

    Re: variables and synthesis finally, i realized my mistake after doing some reading. i just knew that variables and signals cannot be initialized for synthesis. i must use reset mechanism to initialize variables or signals as the code below, if (reset = '1') then variablename := 0; else...
  9. A

    Should the use of variables be avoided for synthesis?

    Re: variables and synthesis yup. i'm using vhdl. the fact is i can synthesize my code. but got many warning messages related to the variables. most of the variable registers are stucked to GND or VCC. whenever i assigned any variables := 0, then it will stuck to GND. i've checked my synthesis'...
  10. A

    synthesis: is my code fully RTL?

    do you mean here that the code of the pre-synthesis (functional/behavioral) is usually not the final code? and the post-synthesis modification of RTL code is usually the final code of a design (that is guaranteed to work even if we do functional/behavioral simulation),
  11. A

    functional simulation does not work

    first i used quartus-based simulation it failed. then i used modelsim, also failed.
  12. A

    synthesis: is my code fully RTL?

    i understood now. so successful synthesis doesn't mean that it will function as it supposed to be right? in the end, i need to change the code. that's sure a lot of work :) i wish myself can think in hardware. thanks all.
  13. A

    synthesis: is my code fully RTL?

    if i can synthesized my design code without any error. does it mean that i had written 100% RTL code? in other words, is the code that can be synthesized can be considered 100% RTL? i'm asking this because my gate-level simulation failed to show any positive result.
  14. A

    post-synthesis modelsim error

    zero-delay oscillation loop modelsim thanks for replying. this is my test bench. it's generated by QuartusII. i also have set higher "iterationlimit" (instead the default of 5000) in modelsim but i still got the same error. i'm not putting anything in the sensitivity list, so there shouldn't...
  15. A

    Should the use of variables be avoided for synthesis?

    how initialize variable in synthesizable verilog is it true that we have to avoid the use of variables if we want our code able to be RTLed? thus able to be synthesized?

Part and Inventory Search

Back
Top