Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Management of a Asynchronous signal

Status
Not open for further replies.

OvErFlO

Full Member level 3
Joined
Dec 7, 2001
Messages
178
Helped
7
Reputation
14
Reaction score
3
Trophy points
1,298
Activity points
1,342
How can I manage a asynchonous signal without metastability problem ?
I receive a asynchonous signal in my FPGA and I must read streaming bits data... How can I synchonized my internal clock with external stream ?

I have read that I can resolve this problem with a FlipFlip D... but there is a Metastability problem when clock and stream have the same edge...
How can I resolve this ?

thanks...
 

if you use two D ff's in series then problem can be solved.If the edge of stream and clock are same first ff may go into metastable state but, before the second ff latches this this first ff would have come out of metastable state.Metastable state won't last for long duartion.
 

Hi,
What about the rates of incoming and processing? If incoming is slower you can just use a buffer.
BRMadhukar
 

you may read <Synthesis and Scripting Techniques for Designing Multi-
Asynchronous Clock Designs>
 

Where can I find this article ?

Thanks
 

read this article on asynchronous clock design by clifford cummings
 

OvErFlO said:
***
... but there is a Metastability problem when clock and stream have the same edge...
How can I resolve this ?

thanks...

For data stream e.g. 10 Mbit/s you need 20 MHz
Use PLL from Data stream for Synchronization
and choose right edge (rise or fall)
____/''''''''''\_____/''''''''''\___ -DATA
__/''''\__/''''\__/''''\__/''''\____ Clock (rise)
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top