Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

HDL Cosimulation blocks in Simulink

Status
Not open for further replies.

noura7

Member level 2
Joined
Apr 19, 2010
Messages
52
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Location
tunisia
Activity points
1,589
hi

how can I configure the HDL Cosimulation blocks in Simulink connected together?

thanks
 

Thats a very broad question.
If you have 2 co-simulation blocks, you need to run two instances of modelsim (and therefor 2 licences)
Or you could write an HDL wrapper that instantiated both blocks and connects them inside the HDL.
 

you could write an HDL wrapper that instantiated both blocks and connects them inside the HDL.

what's mean that and how?
 

you write a wrapper file in VHDL or Verilog, and co-simulate the wrapper.
 

your post makes no sense - could you ask more specific questions?
 

Your posts make no sense.
A wrapper file is just an HDL file
 

sorry for that. I know HDL file that's mean VHDL or VERILG file. For the first time i hear about the word "wrapper" that's make confusion for me
 

A wrapper file is just an HDL file that wraps around 1 or more entities, mainly for connectivity reasons. All you do is instantiate the entites and connect them together
 

please can you give me an example (.v or.vhd) file
 

no i can describe one component with vhdl or verilog language but one i have for example 4 components how can i instantiate and connected them together. Can you please give an file example to follow that thanks!
 

use signals to connect the ports:


Code VHDL - [expand]
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
entity ent1 is
  port (
    a : in  std_logic;
    b : out std_logic
  );
 end entity ent1;
  
architecture struct of ent1 is
  signal x : std_logic;  
  
  component ent2 is
    port (
      a : in  std_logic;
      b : out std_logic
    );
  end component ent2;
    
  component ent3 is
    port (
      a : in  std_logic;
      b : out std_logic
    );
  end component ent3;
    
begin
  
  u1 : ent2
  port map (
    a => a,
    b => x
  );
  
  u2 : ent3
  port map (
    a => x,
    b => b
  );
  
end architecture struct;

 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top