Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

CPLD as bi-directional BUS switch?

Status
Not open for further replies.

darksir

Junior Member level 1
Joined
May 13, 2012
Messages
15
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,411
Hi boys,
please can you help me?
I need switch two SD cards for two MCUs. Only 1 SD to 1 MCU at one time. So I need 2 or 4 pins as selector. Select one of two SD and select one of two processors.
It will be bus selector, or bus switch if you want.
SD card have 6bits of bus. Can I use CPLD for this? Can CPLD drive biderectional? I am totally newbie in CPLD. I found XILINX XC9536XL. This type has enough I/O links. But is this good idea? Is this easy solution?

Many thanks for tips,
Petr
 

Yes you can - quite easily.
Are you familiar with any HDL ?
 

Many thanks for reply.
No, I don´t know any HDL language, I know only ASM and C languages... But I have downloaded logic function schematic of this application solution from google. Is it possible to "click" this logic schematic in CPLD IDE? I found XILINX webpack, is it good for my idea?

xi-cpld-004-lg.gif


I have JTAG programmer, etc, but I have absolutely no experience with CPLD yet :)

Petr

Yes you can - quite easily.
Are you familiar with any HDL ?
 

It is possible - but who guarantees it would work ?
As I said - your design can easily be implemeted on a CPLD\FPGA but I strongly suggest you learn either VHDL\VERILOG before you start this project instead of trying to cut corners by copy\pasting some googled schematic.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top