Xilinx AXI GPIO IP core

Status
Not open for further replies.

beginner_EDA

Full Member level 4
Joined
Aug 14, 2013
Messages
191
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,296
Activity points
3,854
Hi,
I am using AXI GPIO IP core
https://www.xilinx.com/support/documentation/ip_documentation/axi_gpio/v2_0/pg144-axi-gpio.pdf
in combination with microblaze that write/read correct data from/to VHDL top entity.

I wan to read data in microblaze from HDL top entity and do some command operation in microblaze. But the problem is whatever I read from top HDL always remains in GPIO register.

Is there any way to reset GPIO register once the data has been read in microblaze?
 

You really need to improve your question asking. You usually have some vague question similar to "This morning my car doesn't start after I came home from work last night.". How is anyone supposed to answer such a question? The question itself is useless.

My guess would be you don't know how to use the GPIO and you aren't writing the registers correctly, you hooked it up wrong in your top level, or you are reading the same values because the inputs aren't changing. All of these are guesses since you didn't supply any details about how you implemented the GPIO in your design
 


Bravo! mr. Super Moderator
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…