Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Which software can convert Simulink file to HDL code?

Status
Not open for further replies.

Usman Hai

Full Member level 3
Joined
Apr 8, 2004
Messages
158
Helped
12
Reputation
24
Reaction score
9
Trophy points
1,298
Location
Canada
Activity points
1,230
SIMULINK TO HDL CODES

Is there any software available to convert simulink file into HDL codes.
and also for DSP boards.

Regards

USAMAN HAI
PAKISTAN
 

Re: SIMULINK TO VERILOG HDL

HI
Well yes there are several ways .. But they are not COMPLETE SOLUTIONS for all purposes .. First there is Xilinx sysgen ..it is a simulink tool box that allows to match some simulink models to Xilinx Ip's libraries
(NO SYNTHESIS HERE!)
There is also Accelchip ..solution ..(a very expensive one More than $30.000) This ones allows to SYNTHESIZE logic from matlab and also instantiate models from accelchip libraries of IP for simulink ..
I use all these solutions .. Depending wheather you do standard DSP stuff
then there is a lot of off the shelf metodology avalaible .
I'm working with biometrics and some image processing stuff .Then the best way is to use accelchip .. But you have to RECREATE all the INTRINSIC matlab fuctions by hand .. Which comes down to WRITING HARDWARE .. Sometime i don't know if i'm breaking new ground .. or just
kiding myself! ,,yes .. then i drink wine !
 

Re: SIMULINK TO VERILOG HDL

Hi eltonjohn,

I am highly interested in AccelChip. I have done some relative research work myself. Do you know under what OSs it runs? Windows, Linux, Solaris? Also, do you know if they have some kind of a University program? I could not find these answers in their web page.
 

Re: SIMULINK TO VERILOG HDL

The originaly called MATCH compiler ..then Accelfpga and now Accelchip enviroment runs in a variety of machines certainly in Sun and MS windows machines .
It is pretty expensive and is a product fast evolving . It seems that this company is first going for big custumers .And they bearly pay attention to individuals , students or others minors ...
I think that unless they are able to migrate all the intrinsic functions of matlab to sinthetizable libs (A process that can take several years). their product won't be a full solution!
The problem is that matlab contains functions that can't be expressed mathematically and thus not directly sinthetizable by the MATCH compiler
One example is the y= mean () ;function this function is a very common function .and very useful in image processing . The problem is that it's implementation is efficient in MATLAB but not directly convertible to hardware by the MATCH compiler .. To implement that function by hand in matlab implies almost no use of matrix algebra .then we can't use the compiler .. We will find ourselves dealing with wires ..just what we wanted to avoid in the first place !... so no gain here .. There are hundreds of these internal very efficiently coded functions in matlab .. And there is no automatic conversion miracle yet possible !..
The same applies to C code generation ..
 

SIMULINK TO HDL CODES

sysgen from Xilinx, AccelChip may be


Best regard
 

Re: SIMULINK TO HDL CODES

Altera DSP builder , Xilinx Systemgenerator and Synplify DSP (yet to be released, currently only beta version).
 

Re: SIMULINK TO HDL CODES

hjankin said:
simulink to HDL? really work?

Yes, works. Otherwise these tools can't survive in the market.
 

Re: SIMULINK TO HDL CODES

Hi,
What version of simulink have this?
And were i could find it ;)
 

Re: SIMULINK TO HDL CODES

How efficient is the code that simulink generates?
Is it fuly synthesizeble?
 

SIMULINK TO HDL CODES

All the solutions I know do not translate any simulink block to vhdl or to silicon manufacturer macros. Xilinx System Generator provides a simulinx toolbox including predefined cores. There is no automatic code generation. AccelChip, provides a more generic environment, but at the end you have functions that are translated to macros/cores from each manufacturer.
 

Re: SIMULINK TO HDL CODES

One possible way is to use predefined Simulink blockset as provide by
tools such as Xilinx System Generator. Each primitive
in the blockset has a corresponding hardware implementation
and a simulation model.
Using high-level synthesis tools like AccelChip you can synthesize
HW from your MATLAB code.
 

Re: SIMULINK TO HDL CODES

Synplify DSP generates RTL code from simulink models, some nice article on it:

**broken link removed**
 

Re: SIMULINK TO HDL CODES

you may find Link for Modelsim is the best tool for Simuink and HDL simulation and verification. Refer to www.mathworks.com for details.
 

Re: SIMULINK TO HDL CODES

It will be a nice tool in near future for implementation of DSP algorithms in multi-million gate FPGAs. But for its production version availability, you have to wait till end of June 2004 for its release.
 

SIMULINK TO HDL CODES

i hear altera's dspbuilder should be ,but i have no chance to use it ,can anybody say something about it?
 

Re: SIMULINK TO HDL CODES

iamme said:
There are many version of xilinx ise and system generator. Also they have many service pack.
Which of ise is compatible with which of sysgen?

Have a look at version compatibility matrix on following xilinx wersite.

**broken link removed**
 

SIMULINK TO HDL CODES

Hi

AccelFPGA
Altera Quartus Platform +DSP builder

tnx
 

SIMULINK TO HDL CODES

You can try Quartus II 4.1 + DSP Builder + Matlab 6.5 to design your DSP application in simulink with altera's toolbox and generate your simulink design into HDL code using signal compiler. Then you can synthesis the design using Quartus II 4.1.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top