Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

what is useful skew in SoC Encounter?

Status
Not open for further replies.

steven852

Advanced Member level 4
Joined
Apr 24, 2005
Messages
100
Helped
2
Reputation
4
Reaction score
1
Trophy points
1,298
Activity points
2,040
What is the "useful skew" in SoC Encounter and how to use it? Is it part of an ECO process?

Thanks
 

useful skew is used to elimnate setup violations............
That is by adding delay in the clock path, the capturing edge is delayed. Therefore we have more time to capture the data, thus eliminating setup violations.....
 

If the capturing edge is delayed, the hold time would be in danger, right?

I found some material on the useful skew topic. Not having time to read them yet but it is nice to have some discussion.

Thanks
 

True. It does effect hold times. However hold times voilations are easier to correct. All you need is add delay elements to the fastest path. Many times they get added naturally, like wire delays, coupling/fringe capacitance etc. after routing. If there are still hold voilations after routing, the tool can easily add buffers to the path to take care of hold voilations.

setup times are difficult to correct, so the trade-off will be worth it, especially in high speed designs.
 

Ok, that reasoning of setup/hold balancing makes more sense. An extended question on a current project (>500MHz): how do I justify when I should use "useful skew"? Without using it, I had a small violation on both setup and hold (less than 20ps). If using "useful skew", they were all removed. I don't know how SoC Encounter did that. Also since the violations were small, so the timing constraints might be over-constrainted too much. Thus, without using useful skew but modified a bit the timing constraints, the violations could be solved. But how what are the differences on these two approaches?

By the way, any application-wise information on the "useful skew"?

Thanks.
 

sometime, the skew is usefule, thus you can borrow some time from successive

clock cycle.

best regards




steven852 said:
What is the "useful skew" in SoC Encounter and how to use it? Is it part of an ECO process?

Thanks
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top