fahim1
Member level 4
- Joined
- Jun 4, 2015
- Messages
- 75
- Helped
- 2
- Reputation
- 4
- Reaction score
- 2
- Trophy points
- 8
- Activity points
- 517
hi
in this code why the errors
"No feasible entries for infix operator "*""
"bad expression in left operand of infix expression "+""
"Bad right hand side (infix expression) in variable assignment." happened?
this is also not the full code.
tnx
in this code why the errors
"No feasible entries for infix operator "*""
"bad expression in left operand of infix expression "+""
"Bad right hand side (infix expression) in variable assignment." happened?
Code:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use IEEE.NUMERIC_STD.all;
entity serialm is
port(a,b : in std_logic_vector(3 downto 0);
clk : in std_logic;
out3 : out std_logic_vector(7 downto 0));
end serialm;
architecture serialm_arch of serialm is
signal sum : std_logic_vector(2 downto 0) := "000";
signal count :integer := 0;
signal outtemp : std_logic_vector(7 downto 0) := "00000000";
begin
process(clk)
variable sum0,sum1,sum2,sum3 : std_logic := '0';
variable c0,c1,c2,c3 : std_logic := '0' ;
variable temp0,temp1,temp2,temp3 : std_logic_vector(1 downto 0) :="00";
variable inp :std_logic_vector(6 downto 0) := b(3 downto 0) & "000";
begin
if (clk='1' and clk'event) then
if (count <9) then
temp0 := ( a(0) * inp(3) )+ c0 ;
tnx
Last edited by a moderator: