Weighting a random number in systemverilog

Status
Not open for further replies.

BartlebyScrivener

Member level 5
Joined
Feb 8, 2012
Messages
90
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
2,081
I would like to make weighted random numbers.

I am using $urandom_range(1) to create random 1's and 0's. But I would like to be able to, say, produce 1's 10% of the time, or perhaps, 80% of the time etc.

Many thanks for any ideas!
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…