want to convert vhdl to verilog, code must be synthesisable

Status
Not open for further replies.

afouladi86

Junior Member level 2
Joined
Nov 13, 2006
Messages
21
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
Azerbaijan-Tabriz
Activity points
1,384
vhdl to verilog convert

I want to convert this vhdl code to verilog but the code must be Synthesisable.
I need your help.

thanks all
 

Re: convert vhdl to verilog

Hello afouladi86,

This topic can help you:


Don't forget to push "helped me".

Thanks.

Firas.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…