VHDL to Verilog code conversion

Status
Not open for further replies.

qasmi

Member level 3
Joined
Apr 24, 2004
Messages
59
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,288
Activity points
406
Is there any software to convert VHDL code to verilog code?
 

qasmi said:
Is there any software to convert VHDL code to verilog code?

Use X-HDL
 

gerade said:
is there any program can do that reversely?

X-HDL can convert in both directions. Check **broken link removed** for details.

Ace-X.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…