Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

VHDL to Verilog code conversion

Status
Not open for further replies.

qasmi

Member level 3
Joined
Apr 24, 2004
Messages
59
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,288
Activity points
406
Is there any software to convert VHDL code to verilog code?
 

qasmi said:
Is there any software to convert VHDL code to verilog code?

Use X-HDL
 

gerade said:
is there any program can do that reversely?

X-HDL can convert in both directions. Check **broken link removed** for details.

Ace-X.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top