Verilog testbench for VHDL RTL

Status
Not open for further replies.

snehaganesh

Newbie level 4
Joined
Feb 6, 2007
Messages
6
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,332
Can I write Verilog Testbenches to verify VHDL entities... Are there any constraints in doing so?
 

As testbench is simply considered a top level for the Design Under Test, then you can use a Verilog testbench to test a VHDL component. This is done by instantiating the VHDL component inside the Verilog testbench the same way you instantiate a verilog block. Just make sure that you environment supports mixed-language designs.
 

yes u can do that, becoz we actually take the instantiation of the class that is given in testbench. it does not matter when u are instantiating any entity or module.
 

hi,

yes you can do that.

thanx.....
 

Ya we can do that.
For that instantiation of VHDL model has to be done in verilog test bench.
You need to read the documentation of the perticular simulation tool before simulation.

Modelsim u can go through the chapter called Mixed mode simulation
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…