Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Verilog simulation error: instantiation of alu_operation failed

Status
Not open for further replies.

sriramsv

Junior Member level 1
Joined
Mar 14, 2005
Messages
17
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,495
hi,

I'm trying to simulate a simple verilog program(alu operation).i can compile the module n test bench. but when i wanted to simulate it, i'm getting an error

Loading work.test_bench_alu_operation
# ** Error: (vsim-3033) C:/Modeltech_xe_starter/my examples/test_bench_alu_operation.v(6): Instantiation of 'alu_operaion' failed. The design unit was not found.
# Region: /test_bench_alu_operation
# Searched libraries:
# work
# Error loading design

alu_operation is in library "work". Can anyone tell me what will be the problem.

tanx
 

verilog and alu

Error: (vsim-3033) C:/Modeltech_xe_starter/my examples/test_bench_alu_operation.v(6): Instantiation of 'alu_operaion' failed. The design unit was not found.


check your code . May you write wrong module name.
 

error: (vsim-3033)

check ur library map in modelsim .. maybe ur mapping ur library to some other place
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top