Verilog how to choose LUT under different condition

Status
Not open for further replies.

lien0205

Newbie level 5
Joined
Dec 3, 2009
Messages
9
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,345
Currently I have three LUT ROM module written by verilog. The thing is under different condition, the behavior module I am writing will work on the data from only one certain LUT of the three. How could I deal with the code structure? I know I may need to initialize all of the three modules in my behavior but I don't know how to choose the data according to a certain condition.

Thanks,

Lien
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…