Verilog code : division of 2 numbers (8bit)

Status
Not open for further replies.

popdog22

Newbie level 2
Joined
Nov 2, 2013
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
10
The module I have to use is this one:


Code Verilog - [expand]
1
2
3
4
5
module divider(
output reg[7:0] q,         
output reg[7:0] r,
input [7:0] a,b);
endmodule



where a=b*q+r

I'm not allowed to use repetitive subtracting or the / and % operators.

Any help?
 
Last edited by a moderator:

Yes, but I don't know the algorithm for those moethods
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…