Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Verilog code : division of 2 numbers (8bit)

Status
Not open for further replies.

popdog22

Newbie level 2
Joined
Nov 2, 2013
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
10
The module I have to use is this one:


Code Verilog - [expand]
1
2
3
4
5
module divider(
output reg[7:0] q,         
output reg[7:0] r,
input [7:0] a,b);
endmodule



where a=b*q+r

I'm not allowed to use repetitive subtracting or the / and % operators.

Any help?
 
Last edited by a moderator:

Yes, but I don't know the algorithm for those moethods
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top