Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

verilog-A in ncverilog

Status
Not open for further replies.

vardan

Member level 1
Joined
Feb 4, 2003
Messages
34
Helped
2
Reputation
4
Reaction score
0
Trophy points
1,286
Activity points
261
Hi All,
I'm trying to do verilog-A simulation in ncverilog.
I ran ncverilog +ncams +ncverilog filename.va
However, the file was only checked for syntax errors then licensing error was issued.
When I typed "nchelp -tools" in nclaunch GUI's command prompt, I saw nothing resembling ncams or ams. It looks like verilog-A simulator is optional in ncverilog package. Is it so? What's it exact name?

vardan
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top