VCS on Ubuntu (version 14.04 - "undefined reference" error)

Status
Not open for further replies.

dnanar

Junior Member level 1
Joined
May 8, 2014
Messages
17
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
140
Hi,

I'm trying to install Synopsys VCS (MX) on an Ubuntu 64 bit server (version 14.04).

Once VCS is installed and bash variables (licence, PATH, VCS_HOME) has been set, when i try to compile a simple verilog test file i get:

Code:
$ vcs test.v -full64
Warning-[LNX_OS_VERUN] Unsupported Linux version
  Linux version '' is not supported on 'x86_64' officially, assuming linux
  compatibility by default. Set VCS_ARCH_OVERRIDE to linux or suse32 to
  override.
  Please refer to release notes for information on supported platforms.


Warning-[LINX_KRNL] Unsupported Linux kernel
  Linux kernel '3.16.0-43-generic' is not supported.
  Supported versions are 2.4* or 2.6*.

                         Chronologic VCS (TM)
       Version J-2014.12-SP3_Full64 -- Tue Jul 28 22:16:49 2015
               Copyright (c) 1991-2014 by Synopsys Inc.
                         ALL RIGHTS RESERVED

This program is proprietary and confidential information of Synopsys Inc.
and may be used and disclosed only as authorized in a license agreement
controlling such use and disclosure.

Parsing design file 'test.v'
Top Level Modules:
       top
TimeScale is 1 ns / 10 ps
Starting vcs inline pass...
1 module and 0 UDP read.
        However, due to incremental compilation, no re-compilation is necessary.
rm -f _csrc*.so amd64_scvhdl_*.so pre_vcsobj_*.so share_vcsobj_*.so
ld -shared  -o .//../simv.daidir//_csrc0.so amcQwB.o
rm -f _csrc0.so
if [ -x ../simv ]; then chmod -x ../simv; fi
g++  -o ../simv   -Wl,-rpath-link=./ -Wl,-rpath='$ORIGIN'/simv.daidir/ -Wl,-rpath=./simv.daidir/ -Wl,-rpath='$ORIGIN'/simv.daidir//scsim.db.dir    _35547_archive_1.so _prev_archive_1.so _csrc0.so  SIM_l.o  _csrc0.so     rmapats_mop.o rmapats.o rmar.o  rmar_llvm_0_1.o rm
ar_llvm_0_0.o           /home/dnanar/vcs_mx/amd64/lib/libzerosoft_rt_stubs.so /home/dnanar/vcs_mx/amd64/lib/libvirsim.so /home/dnanar/vcs_mx/amd64/lib/liberrorinf.so /home/dnanar/vcs_mx/amd64/lib/libsnpsmalloc.so    /home/dnanar/vcs_mx/amd64/lib/libvcsnew.so /home/dnana
r/vcs_mx/amd64/lib/libuclinative.so   -Wl,-whole-archive /home/dnanar/vcs_mx/amd64/lib/libvcsucli.so -Wl,-no-whole-archive          /home/dnanar/vcs_mx/amd64/lib/vcs_save_restore_new.o -ldl  -lc -lm -lpthread -ldl 
/home/dnanar/vcs_mx/amd64/lib/libvcsnew.so: undefined reference to `snpsReallocFunc'
/home/dnanar/vcs_mx/amd64/lib/libvcsnew.so: undefined reference to `snpsCheckStrdupFunc'
/home/dnanar/vcs_mx/amd64/lib/libvcsnew.so: undefined reference to `snpsGetMemBytes'
/home/dnanar/vcs_mx/amd64/lib/libvcsucli.so: undefined reference to `isUcliEngineStarted'
/home/dnanar/vcs_mx/amd64/lib/libvcsucli.so: undefined reference to `setEnvPair'
/home/dnanar/vcs_mx/amd64/lib/libvcsucli.so: undefined reference to `waitForUserInput'
.....
/home/dnanar/vcs_mx/amd64/lib/libvcsnew.so: undefined reference to `snpsCurrentGroup'
collect2: error: ld returned 1 exit status
make: *** [product_timestamp] Error 1
Make exited with status 2
CPU time: .141 seconds to compile + .023 seconds to elab + .171 seconds to link

I don't really understand why G++ cannot find the references, since they seem to be included in libsnpsmalloc.so:
Code:
$ nm -Ca libsnpsmalloc.so | grep snpsReallocFunc
0000000000005200 T snpsReallocFunc

Note that my g++ version is 4.8.4 but it doesn't seem to have much impact...

Did anyone run into the same problem please? Have a clue how to solve this?


Thanks!
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…