[SOLVED] using design ware library in synopsys design compiler

Status
Not open for further replies.

kmegamind

Junior Member level 1
Joined
Oct 2, 2012
Messages
18
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,283
Location
Alexandria , Egypt.
Activity points
1,406
I am trying to use the barrel shifter provider by the design ware library

so i am using this code which i copied from the examples coming with the library

Code:
library IEEE,DWARE,DWARE;
use IEEE.std_logic_1164.all;
use DWARE.DWpackages.all;
use DWARE.DW_foundation_comp.all;

entity DW01_bsh_inst is
  generic (inst_A_width : POSITIVE := 8;
           inst_SH_width : POSITIVE := 3);
  port (inst_A : in std_logic_vector(inst_A_width-1 downto 0);
        inst_SH : in std_logic_vector(inst_SH_width-1 downto 0);
        B_inst : out std_logic_vector(inst_A_width-1 downto 0));
end DW01_bsh_inst;

architecture inst of DW01_bsh_inst is
begin

  -- Instance of DW01_bsh
  U1 : DW01_bsh
    generic map ( A_width => inst_A_width, SH_width => inst_SH_width )
    port map ( A => inst_A, SH => inst_SH, B => B_inst );
end inst;

-- pragma translate_off
configuration DW01_bsh_inst_cfg_inst of DW01_bsh_inst is
  for inst
  end for; -- inst
end DW01_bsh_inst_cfg_inst;
-- pragma translate_on

but i get the following warning during compilation

Code:
Warning: Design 'DW01_bsh_inst' has '1' unresolved references. For more detailed information, use the "link" command. (UID-341)
Alib files are up-to-date.
Warning: DesignWare synthetic library dw_foundation.sldb is added to the synthetic_library in the current command. (UISN-40)
Information: Sequential output inversion is enabled.  SVF file must be used for formal verification. (OPT-1208)

which makes my design have zero area as if the design ware unit was not included
what should i do ?
 

Is dw_foundation.sldb listed in both the synthetic_library and the link_library variables *prior* to your read/analyze/elaborate/link/compile steps?
Run and examine the link command output; check_design might also be useful.
Also make sure you are not seeing any related messages during the earlier analyze/elaborate steps.
(e.g. double-check you are correctly getting the DW component templates from the packages, not missing a search-path, etc.)
 
I have searched in my synopsys/dw path but coudn't find the dw_foundation.sldb
what to do ?
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…