Using clock as data - Drive a signal only on +ve clock phase

Status
Not open for further replies.

xrisas1

Newbie level 3
Joined
Mar 16, 2006
Messages
3
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,299
Hi People,

I need to use clock as data but I know that this will give problems after synthesis.
What I actually need is to drive a signal only on the positive phase of the clock so I am currently using :

data_out <= data_reg when (clk = '1') else (others => '0');

where data_reg is a registered value. Does anybody know a clean solution for that?

Thanks
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…