URGENT: help delay for this codes

Status
Not open for further replies.

Abi88

Newbie level 4
Joined
Jun 20, 2007
Messages
6
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,283
Activity points
1,331
hi all, can anyone please help me to delay the time? the input reads too fast.

library ieee;
use ieee.std_logic_1164.all;

entity test1 is
port( clk:in std_logic;
input:in std_logic;
outputut std_logic_vector(6 downto 0));
end entity test1;

architecture behavior of test1 is
begin
progress(clk,input)
begin
if (clk'event and clk='1') then
if (input='1') then
output<="1001111";
else
output<="0000001";
end if;
end if;
end progress;
end behavior;

i output to 1 digit on the 7-segment and is it possible that i display 2-digit on the 7 segment with different number? example like digit1 display'1' and digit2 display'2'?
thanks...
 

Progress? I suppose it should be process. Btw is ur display of no. in sequnece? If it is, it's better to use a LUT or read from a file. As for the delay, check out this website under Variable Sector: .

If u haf 2 7-segment led it is possible. Just haf to do the correct port setting.

Good Luck =)!
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…