udp in verilog...help me plzzzzzzz............

Status
Not open for further replies.

harsh49

Newbie level 2
Joined
May 27, 2011
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
new delhi
Activity points
1,293
help me for this program...its not functioning as it should be as per program.....

primitive tflip(q,t,clk,reset);

output q;
reg q;
input t,clk,reset;
initial

q=1'b0;

table
//t clk reset q q+
? ? 1 :?:0;
? ? (10) :?:0;
? ? (01) :?:0;
? ? (x?) :?:0;
? ? (?x) :?:0;
? (10) ? :?:1;
0 (01) 0 :?:0;
1 (01) 0 :0:1;
1 (01) 0 :1:0;
endtable
endprimitive
 

at negetive edge of the clk.....this program is working as a the togling condition...which should not be work like it ...since i have specified that the output should be 0 at negetive edge of clk so why it is working like a positive edge of clk.....
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…