Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

udp in verilog...help me plzzzzzzz............

Status
Not open for further replies.

harsh49

Newbie level 2
Joined
May 27, 2011
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
new delhi
Activity points
1,293
help me for this program...its not functioning as it should be as per program.....

primitive tflip(q,t,clk,reset);

output q;
reg q;
input t,clk,reset;
initial

q=1'b0;

table
//t clk reset q q+
? ? 1 :?:0;
? ? (10) :?:0;
? ? (01) :?:0;
? ? (x?) :?:0;
? ? (?x) :?:0;
? (10) ? :?:1;
0 (01) 0 :?:0;
1 (01) 0 :0:1;
1 (01) 0 :1:0;
endtable
endprimitive
 

at negetive edge of the clk.....this program is working as a the togling condition...which should not be work like it ...since i have specified that the output should be 0 at negetive edge of clk so why it is working like a positive edge of clk.....
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top