[SOLVED] Two True-Dual-port rams in Zedboard

Status
Not open for further replies.

rafimiet

Member level 5
Joined
May 21, 2015
Messages
94
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,288
Activity points
2,364
I have to use two small true dual port RAMs in zedboard(say MEMa and MEMb).
First part of code does this:
1. Get data at I/O port
2. Write on both ports of RAMa
Second part of code does this:
1. Read on both ports of RAMa
2. Modify and Write on both ports of RAMb.

When I peek into RAMa, after writing into it, it shows the data in it.
When I peek into RAMb2, after writing into it, it shows unresolved contents into it!!! Has not written anything...
Do I violate any rules while using it?
 

Without seeing any code, its not really possible to say.
Given you have said it is "unresolved" (do you actually mean uninitialised?) you are likely to be reading from addresses that have not been written. And I assume this is a simulation?
 

Without seeing any code, its not really possible to say
It is a large code, I don't understand how can I post the code.
Secondly, Yes it is when I simulate the code.
The question I ask is:
Is it possible to use two true dual-port RAMs, and read from both ports of first RAM and write into both ports of second RAM simultaneously? Both RAMs, all ports are working on a single clock.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…