Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Timing violations after postRoute

Ashokb431

Junior Member level 1
Joined
Mar 31, 2023
Messages
16
Helped
0
Reputation
0
Reaction score
1
Trophy points
3
Activity points
148
I am having Hold violations in my design after post route stage and my data path and clock path are completely optimized and i should not touch. how to fix these hold violations
 
there is no such thing as free lunch. hold fixes usually incur penalties... very often delay cells are added to slow down hold-critical paths.

you can go back one stage and perform your post route opt with a higher hold margin.
you can also run a dedicated Hold Opt round. in Innovus, this is achieved with optDesign -postRoute -hold
 
there is no such thing as free lunch. hold fixes usually incur penalties... very often delay cells are added to slow down hold-critical paths.

you can go back one stage and perform your post route opt with a higher hold margin.
you can also run a dedicated Hold Opt round. in Innovus, this is achieved with optDesign -postRoute -hold
Still there exist certain hold mode violations after using the command optDesign -postRoute -hold.. What to do?
 

LaTeX Commands Quick-Menu:

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top