Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

This application has requested the Runtime to terminate it in an unusual way.

Status
Not open for further replies.

electronical

Advanced Member level 4
Joined
Nov 4, 2011
Messages
104
Helped
2
Reputation
4
Reaction score
2
Trophy points
1,298
Activity points
1,975
.Hello all
when i synt code in ise ,synt is fail and the error is
"This application has requested the Runtime to terminate it in an unusual way.
Please contact the application's support team for more information.
INTERNAL_ERROR:Xst:cmain.c:3422:1.27 - Process will terminate. For technical support on this issue, please open a WebCase with this project attached athttp://www.xilinx.com/support. "
when I remove component ,the error is removed ,how can i resolve it?
 

I found where the problem is occured.
I have a three dimentional matrix ,the error is occured here.
I know three dimentional matrix cant be synt,but in another program I used it and the code synt with out any error

type matrix1x7 is array (1 to 0007) of integer range -1 to 1;
type matrix96x7 is array (1 to 96) of matrix1x7;
type matrix1152x7 is array (1 to 0012) of matrix96x7;

(is matrix1152x7 a 7x96x12 matrix or 1152x7 matrix ? )
 
Last edited:

that will be your problem. I dont think synthesisers like 4D matrices. (remember, your integer will get converted to a 2 bit number).

Why do you need such a crazy matrix?
 

I dont think synthesisers like 4D matrices.
I don't know for XST, but others usually understand it. The total memory amount is still manageable. I guess there are other problems involved with the code.

Meaningfulness is a different point, of course.
 

This code looks a lot like software with clock branches wrapped around it. This code will never work at any decent speed as you have HUGE memory transfers in single clocks, which will not work as memories. It will require huge register resources.

I suggest you start again. Read up on digital logic first, and look at architecting your design with a decent pipeline, rather than this massive data transfer monster.
 
  • Like
Reactions: FvM

    FvM

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top