Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

The "work" entity is Quartus (Lite V18.0)

Status
Not open for further replies.

monahanz

Junior Member level 1
Joined
Nov 11, 2013
Messages
19
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
San Ramon CA
Activity points
1,490
I have been using .vhd files from Open Cores with good success in Intel's Quartus.
However in many cases I reach a roadblock. In all such cases there are multiple files to compile.

For example:-
I would like to make a .bdf module from the “Simple UART for FPGA” by Jakub Cabal.

The UART.vhd root file has at the start

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.NUMERIC_STD.ALL;

use IEEE.MATH_REAL.ALL;


all of which are fine with Quartus.


But down a few lines there is:-

os_clk_divider_i : entity work.UART_CLK_DIV

generic map(

DIV_MAX_VAL => OS_CLK_DIV_VAL,

DIV_MARK_POS => OS_CLK_DIV_VAL-1

)


There is a separate file “UART_clk_div.vhl’ in the same directory (which compiles fine BTW).

I get this a lot. Quartus gets hung up on finding the entity “work

What do I need to add to get Quartus to include files with “work” attached?

Thanks in advance

John
 

How do I do that?
--- Updated ---

Never mind I figured out how to do it:-
(Main menu, add/remove files in project)
Thanks so much
John
 
Last edited:

"Work" in this context is not an entity, it means "current working library" in vhdl. Usually this will be the library you're compiling the file into.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top