I tried again as
----------------------------------------------
library ieee;
use ieee.STD_LOGIC_UNSIGNED.all;
use ieee.std_logic_1164.all;
entity recursion_tb is
end recursion_tb;
architecture TB_ARCHITECTURE of recursion_tb is
component recursion
port(
num : in STD_LOGIC_VECTOR(15 downto 0);
exor_out : out STD_LOGIC_VECTOR(1 downto 0) );
end component;
signal num : STD_LOGIC_VECTOR(15 downto 0):= "1111001100010101";
signal exor_out : STD_LOGIC_VECTOR(1 downto 0);
begin
UUT : recursion
port map (
num => num,
exor_out => exor_out
);
end TB_ARCHITECTURE;
configuration TESTBENCH_FOR_recursion of recursion_tb is
for TB_ARCHITECTURE
for UUT : recursion
use entity work.recursion(behavioral);
end for;
end for;
end TESTBENCH_FOR_recursion;
-----------------------------------------------------------------------------------
https://obrazki.elektroda.pl/98_1293895657.jpg
or
---------- Post added at 17:28 ---------- Previous post was at 17:27 ----------
Nothing appears at exor_out.......
can you help me FvM?