Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

SystemVerilog to Verilog converter tool

Status
Not open for further replies.

Racheee

Newbie
Joined
Nov 24, 2021
Messages
4
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
46
Hi,
I am looking for a SystemVerilog to Verilog converter tool except for YOSYS.
Would you please help me?
Thanks
 

SystemVerilog is a superset of Verilog, which it does encompass.
A compiler for SV can also compile .v files...why do you need it?
 

Hi,
I am looking for a SystemVerilog to Verilog converter tool except for YOSYS.
Would you please help me?
Thanks

YOSYS supports some SystemVerilog.
There are plugins for full support



And there are some basic SystemVerilog to Verilog converters.

 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top