SystemVerilog Assertions

Status
Not open for further replies.

ned_zeppelin

Newbie level 6
Joined
Mar 5, 2012
Messages
12
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,409
Hi,

I have started using assertions recently, and there is a relatively common case I can't seem to figure out the correct syntax for. Hopefully, someone in here can help me.

The basic idea can be illustrated by a short example:


Code Verilog - [expand]
1
2
3
4
5
6
property p1;
@(posedge clk) 
$rose(sig1) |->
[0:$] $fell(sig2)
##1 $fell(sig1)
endproperty



But I also want to check that, lets say $fell(sig3), does not happen before [0:$] $fell(sig2). I have tried some variations of not, and, intersect etc. without any luck. Does anyone know how/if this can be done?

Any help is greatly appreciated.
 
Last edited:

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…