Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

SystemC problem: build machine environment variables may not be correct

Status
Not open for further replies.

mmoctar

Member level 4
Joined
Feb 12, 2007
Messages
79
Helped
12
Reputation
24
Reaction score
7
Trophy points
1,288
Location
France
Activity points
1,766
environment variables were not found systemc

I'm begginner with systemc, try to use it with vc++ 2008. I build the Systemc and now I want to try an example but all time I get this error. This is my build log:


Build started: Project: fir, Configuration: Debug|Win32

Command Lines


Build machine environment variables may not be correct.
Build machine environment variables may not be correct.
Build machine environment variables may not be correct.
Creating temporary file "c:\systemc-2.2.0\examples\sysc\fir\Debug\RSP00000123083452.rsp" with contents
[
/Od /I "\..\src" /D "WIN32" /D "_DEBUG" /D "_CONSOLE" /D "NOGDI" /D "_VC80_UPGRADE=0x0710" /D "_MBCS" /Gm /EHsc /RTC1 /MTd /Fo"Debug\\" /Fd"Debug\vc90.pdb" /W3 /c /ZI /TP /vmg

".\stimulus.cpp"

".\main_rtl.cpp"

".\main.cpp"

".\fir_fsm.cpp"

".\fir_data.cpp"

".\fir.cpp"

".\display.cpp"
]
Creating command line "cl.exe @"c:\systemc-2.2.0\examples\sysc\fir\Debug\RSP00000123083452.rsp" /nologo /errorReport:prompt"

Output Window


Compiling...
display.cpp
c:\systemc-2.2.0\examples\sysc\fir\display.cpp(36) : fatal error C1083: Cannot open include file: 'systemc.h': No such file or directory
fir.cpp
c:\systemc-2.2.0\examples\sysc\fir\fir.cpp(36) : fatal error C1083: Cannot open include file: 'systemc.h': No such file or directory
fir_data.cpp
c:\systemc-2.2.0\examples\sysc\fir\fir_data.cpp(36) : fatal error C1083: Cannot open include file: 'systemc.h': No such file or directory
fir_fsm.cpp
c:\systemc-2.2.0\examples\sysc\fir\fir_fsm.cpp(36) : fatal error C1083: Cannot open include file: 'systemc.h': No such file or directory
main.cpp
c:\systemc-2.2.0\examples\sysc\fir\main.cpp(36) : fatal error C1083: Cannot open include file: 'systemc.h': No such file or directory
main_rtl.cpp
c:\systemc-2.2.0\examples\sysc\fir\main_rtl.cpp(36) : fatal error C1083: Cannot open include file: 'systemc.h': No such file or directory
stimulus.cpp
c:\systemc-2.2.0\examples\sysc\fir\stimulus.cpp(36) : fatal error C1083: Cannot open include file: 'systemc.h': No such file or directory
Generating Code...
Project : warning PRJ0018 : The following environment variables were not found:
$(SYSTEMC)

Results


Build log was saved at "file://c:\systemc-2.2.0\examples\sysc\fir\Debug\BuildLog.htm"
fir - 7 error(s), 0 warning(s)
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top