Synopsys ICC : getting cell statistics or netlist from IC compiler Milkyway database

Status
Not open for further replies.

reddvoid

Junior Member level 3
Joined
Apr 8, 2013
Messages
27
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,587
Hi,
I have loaded the milkyway database of the design to the synopsys ic compiler
how can i get details of all the cells present in the design and the netlist after place and route ?



Thanks
 

report_design_physical -all -verbose
 
Thanks, that worked
Hi,
I dumped reports using the command you gave,
there is a entry called switch which is taking too much of area compared to total area
there are power switches being used , but there is no chance power witches taking so much area
what might be this cell representing ?
Code:
CELL INSTANCE SECTION
---------------------
 Cell Instance Type       Count           Area     Sites
TOTAL LEAF CELLS         389833      651004.28 unit:23308424 
  Standard  Cells        356671      115449.60 unit:4133534 
  Antenna Cells               0           0.00 --
  STD Filler Cells        19126        9926.55 unit:355408 
  Macro Cells                63      511883.16 unit:18327360 
    Block                     0           0.00 --
    HardMacros               63      511883.16 unit:18327360 
  
  IOPad  Cells               12        9845.66 unit:352512 
   
  Tap Cells               13961        3899.31 unit:139610 
  
  Spare Cells              1818         562.87 unit:20153 
  Special cells            6059      450589.16 unit:16132802 
    Level Shifters            0           0.00 --
    Isolation              1066         299.02 unit:10706 
    Switch                 2579      449218.97 unit:16083744 
    AlwaysOn                608         919.85 unit:32934 
    TieOff Cells           1806         151.32 unit:5418 

  NORMAL CELLS           356733      627252.33 unit:22458014 
  PHYSONLY CELLS          33100       23751.95 unit:850410 
    STD Filler Cells      19126        9926.55 unit:355408 
    PGPin Only Cells      13974       13825.41 unit:495002 

  Combinational          307446       71251.66 unit:2551080 
  Sequential              49287      556000.67 unit:19906934 
 
  Buffers                 62912       12935.86 unit:463153 
  Inverters               47927        7691.22 unit:275375 
  Inverters/Buffers      110839       20627.09 unit:738528 
  Latches                   608         270.98 unit:9702 
  Flipflops               46518       40919.18 unit:1465062 

  DoubleHeight                0           0.00 --
  TripleHeight                0           0.00 --
  MoreThanTriple              0           0.00 --

LogicalBlackBox cells         1           0.00 --


Thank you
 

The report says you have 2.5k instances of switch cells. That is a lot, you should be able to find them.

These reports are usually based on what the cell LEF file says about each cell. Sometimes that data is wrong. I have seen being counted as std cells, IO cells as bonds, and so on. I wouldn't be surprised if this happens to be a cap cell of sorts.
 


I know all the cell names used in the design , is there a way to get details of a particular cell, like how-many instances a particular cell being used.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…