synopsys design ware help

Status
Not open for further replies.

elvishbow_zhl

Junior Member level 1
Joined
Apr 17, 2004
Messages
18
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
162
dw02_mult_2_stage means there are one register in multiplier?
the difference between it and DW02_mult is only one pipeline? or 2 pipeline?
 

from the SNUG, it sound like that.
but i have to remind, since the auto retiming is support, there might be excessive stage registers for the partial product.

usually, we use explicit structure, like (Wallace Tree + Adder), which can help reduce the internal registers.

regards.
 

I think we should not rely too much on DW to optimize our circuit. If you want to pipeline the multiplier, just do it by yourself.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…