Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Solution to a molelsim warning

Status
Not open for further replies.

iman110

Newbie level 3
Joined
Feb 27, 2010
Messages
3
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,298
Dear friends,

i was faced with the following warning while simulating a large verilog code and i 'm seeking for solutions:

Warning: Design size of 32257 instances exceeds ModelSim ALTERA recommended capacity.
# This may because you are loading cell libraries which are not recommended with
# the ModelSim Altera version. Expect performance to be adversely affected.

moreover, How can we access to output waveform file in text or binary form?

Cheers
 

I guess you are working on started edition.
Still your design will be simulated but will take more hours to load as well as simulate.
Go for a license Modelsim edition, where you wont see this warning.
In modelsim vsim will be used to view the wave form.
 
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top