jihadmoussa
Newbie level 5
Hi
I have problem with bidirectional port.
when I compile the following code I got an error message:
" string literal has a character 'z' not in the enumeration type"
signal dat : inout std_logic_vector(3 downto 0);
signal s_dat_is_output : std_logic;
signal s_dat :std_logic_vector(3 downto 0);
dat <= s_dat when s_dat_is_output='1' else "zzzz";
Please can you help me dealing with inout ports.
---------- Post added at 11:43 ---------- Previous post was at 11:28 ----------
Thank you every one the problem was with capital Z
I have problem with bidirectional port.
when I compile the following code I got an error message:
" string literal has a character 'z' not in the enumeration type"
signal dat : inout std_logic_vector(3 downto 0);
signal s_dat_is_output : std_logic;
signal s_dat :std_logic_vector(3 downto 0);
dat <= s_dat when s_dat_is_output='1' else "zzzz";
Please can you help me dealing with inout ports.
---------- Post added at 11:43 ---------- Previous post was at 11:28 ----------
Thank you every one the problem was with capital Z