Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

signals between entities in VHDL

Status
Not open for further replies.

alexz

Full Member level 5
Joined
Nov 19, 2004
Messages
283
Helped
6
Reputation
12
Reaction score
3
Trophy points
1,298
Location
UK
Activity points
2,246
when I have number of entities where 2 of them are instantiated in 1.
There is an output from a 1 is instantiated to the input of another through a signal in a top level.
How should that be in terms of in/out declaration of that signal?

port map (mysignal => outsignal, ...

port map (mysignal => insignal, ...


eventially the outsignal should be connected to the insignal.
If I declare the insignal as an input and the out signal as an output in these entities, it does not work.

basically, it suppose to be an address decoder.
where the outsignal is an output from the decoder, which goes to the input of a peripheral
 

First of all I am not sure if you have instantiated the two entities in the top level entity.
Before doing portmapping you need to instantiate as a component and then portmapping the signals will do the job. You will have to create a third signal say X

and then

Portmap(Input signal => X)
Portmap(output signal =>X)

Signals are just like wires inside the top level entity.And you cannot portmap ports of entities without instantiating them as a component.
 

That is exaclty the way I am doing it, but it does not work because in thefirst entity, the signla is out, but in the second the signal is in.
Theere is an intermidiate signal in the top level as well.
 

r u getting any errors while compiling ur code? if so please give the error message then it will be easy to pick out the error. Basically what u told is right, it should work. may be there is a simple mistake in the code.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top