Set timing delay in lattice diamond for MACHXO2

Status
Not open for further replies.

beginner_EDA

Full Member level 4
Joined
Aug 14, 2013
Messages
191
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,296
Activity points
3,854
Hi,
I would like to know how to set delay in lattice diamond for internal pins as well as for I/O?

I put this inside .sdc file but it is not recognized what other tool like vivado recognize?

set_max_delay -from [get_pins {I_module_a/txdata_reg[8]}] -to [get_pins {I_module_b/ODDRXE_msb}] 2.49

Regards
 

What do you mean “internal pins”? Do you mean module ports? Why do you even need to do that? If you have a clock constraint the tool should take care of meeting timing. Do you maybe need a MULTICYCLE constraint? Also, maybe the signal you’re trying to constrain is getting optimized away.
 

Hi,

How long do you whish the delay to be?

Klaus
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…